标签归档:bcd

二进制转BCD码

昨儿碰到一个不大不小的问题。就是一个十位的二进制数,如何转化成一个16位的BCD码(这里用8421码),也就是一个普通的十进制的四位数的问题。不是换算,是用具体的电路实现。

其实我有一段Verilog的源代码(是时序触发的,不过,我还没想到用组合逻辑要怎么搞……)。只不过看不懂,后来google之,果然得到老外的一段英文,看了以后就悟了。鉴于这问题有点意思,就发上来给大家看看。

继续阅读